Как работает jk триггер

D/T/J-K/S-R триггеры

как работает jk триггер

Библиотека: Память
Введён в: 2.0 Beta 1
Внешний вид:

Поведение

Каждый триггер хранит один бит данных, который выдаётся на выход Q на восточном крае. В нормальном состоянии значением можно управлять через входы на западном крае. В частности, значение меняется, когда значение на тактовом входе, отмеченном треугольником на каждом триггере, меняется с 0 на 1 (или наоборот, если так настроено); в момент этого перехода значение меняется в соответствии с таблицей ниже.

D триггер T триггер J-K триггер S-R триггер

Другой способ описания поведения различных триггеров — обычный текст.

  • D триггер: когда тактовый вход срабатывает, значение, хранящееся в триггере, мгновенно становится значением входа D (Данные).
  • T триггер: когда тактовый вход срабатывает, значение, хранящееся в триггере, меняется или остаётся прежним в зависимости от того, какое значение на входе T (Переключение): 1 или 0.
  • J-K триггер: когда тактовый вход срабатывает, значение, хранящееся в триггере, меняется, если на входах J и K единица; остаётся прежним, если на них 0; если значения на них различны, то значение становится единицей, если на входе J (Прыжок) — 1; или нулём, если на входе K (Забой) — 1.
  • S-R триггер: когда тактовый вход срабатывает, значение, хранящееся в триггере, остаётся неизменным, если на входах R и S — 0; становится 0, если на входе R (Сброс) — 1, и становится 1, если на входе S (Установка) — 1. Поведение не определено, если на обоих входах 1. (В Logisim значение триггера остается неизменным.)

По умолчанию тактовый вход срабатывает при переднем фронте — то есть когда значение на тактовом входе меняется с 0 на 1.

Впрочем, атрибут Срабатывание позволяет сменить это на задний фронт (когда значение на тактовом входе меняется с 1 на 0), на Высокий уровень (срабатывать непрерывно на протяжении времени, когда на тактовом входе 1), или на Низкий уровень (срабатывать непрерывно на протяжении времени 0).

Варианты » уровень» недоступны для T и J-K триггеров, так как триггер ведет себя непредсказуемо, если заставить его переключаться непрерывно на протяжении отрезка времени.

Контакты

Западный край, отмечен треугольником (вход, разрядность равна 1) Тактовый вход: в момент, когда значение на этом входе меняется с 0 на 1 (передний фронт), значение триггера будет обновлено в соответствии с другими входами на западном крае. Пока значение на этом входе остаётся 0 или 1, другие входы на западном крае не имеют эффекта.

Западный край, другой отмеченный контакт(ы) (вход(ы), разрядность равна 1) Эти входы управляют тем, как значение триггера меняется в момент срабатывания тактового входа. Их точное поведение зависит от триггера; приведенная выше таблица описывает его. Восточный край, отмечен Q, северный контакт (выход, разрядность равна 1) Выдаёт значение, хранящееся в данный момент в триггере.

Восточный край, южный контакт (выход, разрядность равна 1) Выдаёт дополнение для значения, хранящегося в данный момент в триггере. Южный край, восточный контакт (вход, разрядность равна 1) Асинхронный сброс: если на этом входе 0 или неопределённое значение, то он не имеет эффекта. Пока на нём 1, значение триггера фиксировано на 0. Это происходит асинхронно — то есть вне зависимости от текущего значения на тактовом входе.

Пока на нём 1, другие входы не имеют эффекта. Южный край, центральный контакт (вход, разрядность равна 1) Включение: когда на этом входе 0, срабатывания тактового входа игнорируются. Текущий бит по-прежнему поступает на выход. Срабатывания тактового входа включаются, когда значение этого входа 1 или не определено.

Южный край, западный контакт (вход, разрядность равна 1) Асинхронная установка: если на этом входе 0 или неопределённое значение, то он не имеет эффекта. Пока на нём 1, значение триггера фиксировано на 1. Это происходит асинхронно — то есть вне зависимости от текущего значения на тактовом входе. Пока на этом входе 1, другие входы не имеют эффекта, за исключением входа Асинхронный сброс — он имеет приоритет.

Атрибуты

Срабатывание Определяет, как обрабатывается тактовый вход. Значение Передний фронт означает, что триггер должен обновляться в момент, когда значение на тактовом входе меняется с 0 на 1. Значение Задний фронт означает, что он должен обновляться, когда значение на тактовом входе меняется с 1 на 0.

Значение Высокий уровень означает, что триггер должен обновляться непрерывно, пока на тактовом входе 1. И значение Низкий уровень означает, что он должен обновляться непрерывно, пока на тактовом входе 0. Обратите внимание, что два последних варианта недоступны для T и J-K триггеров. Метка Текст внутри метки, привязанной к триггеру.

Шрифт метки Шрифт, которым отрисовывается метка.

Поведение Инструмента Нажатие

Щелчок на триггере с помощью Инструмента Нажатие переключают бит, хранящийся в триггере, если входы Асинхронный сброс/установка не фиксируют значение в данный момент.

Поведение Инструмента Текст

Позволяет редактировать привязанную к компоненту метку.

Назад к Справке по библиотеке

Источник: http://www.cburch.com/logisim/docs/2.6.0/ru/libs/mem/flipflops.html

Логические триггеры: схемы, классификация, устройство, назначение, применение

как работает jk триггер

Триггер — простейшее последовательностное устройство, которое может находиться в одном из двух возможных состояний и переходить из одного состояния в другое под воздействием входных сигналов. Триггер является базовым элементом последовательностных логических устройств.

ЭТО ИНТЕРЕСНО:  Как проверить трансформатор мультиметром

Входы триггера разделяют на информационные и управляющие (вспомогательные). Это разделение в значительной степени условно. Информационные входы используются для управления состоянием триггера.

Управляющие входы обычно используются для предварительной установки триггера в некоторое состояние и для синхронизации.

{xtypo_quote}Триггеры могут иметь 2 выхода: прямой Q и инверсный Q.{/xtypo_quote}

Триггеры классифицируют по различным признакам, поэтому существует достаточно большое число классификаций. К сожалению, эти классификации не образуют стройной системы, но инженеру необходимо их знать.

Классификация триггеров:

● способу приема информации;

● принципу построения;

● функциональным возможностям.

Различают асинхронные и синхронные триггеры.

Асинхронный триггер — изменяет свое состояние непосредственно в момент появления соответствующего информационного сигнала.

Синхронные триггеры — реагируют на информационные сигналы только при наличии соответствующего сигнала на так называемом входе синхронизации C (от англ. clock). Этот вход также обозначают терминами «строб», «такт».

Синхронные триггеры в свою очередь подразделяют на триггеры со статическим (статические) и динамическим (динамические) управлением по входу синхронизации C. Статические триггеры воспринимают информационные сигналы при подаче на вход C логической единицы (прямой вход) или логического нуля (инверсный вход). Динамические триггеры воспринимают информационные сигналы при изменении (перепаде) сигнала на входе C от 0 к 1 (прямой динамический С-вход) или от 1 к 0 (инверсный динамический С-вход).

Статические триггеры в свою очередь подразделяют на одноступенчатые (однотактные) и двухступенчатые (двухтактные). В одноступенчатом триггере имеется одна ступень запоминания информации, а в двухступенчатом — две такие ступени. Вначале информация записывается в первую ступень, а затем переписывается во вторую и появляется на выходе. Двухступенчатый триггер обозначают через ТТ.

Различие триггеров по функциональным возможностям

● с раздельной установкой состояния 0 и 1 (RS-триггеры);

● универсальные (JK-триггеры);

● с приемом информации по одному входу D (D-триггеры, или триггеры задержки);

● со счетным входом Т (Т-триггеры).

Входы триггеров обычно обозначают следующим образом:

Источник: https://pue8.ru/silovaya-elektronika/912-triggery-printsip-dejstviya-ustrojstvo-naznachenie.html

Триггеры. Принцип работы

как работает jk триггер

Всем доброго времени суток! Сегодняшний мой пост посвящён цифровым микросхемам, которые имеют память. Подобно тому, как человек помнит события из своей жизни, так и эти микросхемы могут долго хранить заложенную в них информацию, а когда необходимо выдавать её.

Такими цифровыми микросхемами являются триггеры (англ. – Trigger или Flip-Flop).

В отличие от простых логических микросхем, которые называют комбинационными (НЕ, И-НЕ, ИЛИ и другие) и их сигналы на выходе чётко соответствуют сигналам на входе, то триггеры относятся к последовательным или последовательностным микросхемам, уровень выходного напряжения которых, зависит от того в какой последовательности поступали сигналы на вход триггера. С помощью триггеров строят более сложные цифровые микросхемы.

Для сборки радиоэлектронного устройства можно преобрески DIY KIT набор по ссылке.

Сигналы, поступившие на вход триггера, могут храниться только до тех пор, пока на него подается напряжение питания. После каждого включения триггера на его выходах появляются случайные логические уровни напряжения. Триггеры обладают очень высоким быстродействием, сравнимым с задержками при переключении простейших логических элементов, однако объём хранимой информации мал. Один триггер может хранить только один сигнал или бит.

Внутреннее устройство триггера

Не вдаваясь в глубину схемотехники триггера, скажу сразу, что простейший триггер представляет собой схему из двух логических элементов, взаимодействуя между собой с помощью положительной обратной связи, которая обеспечивает нахождения выходов триггера в одном их двух логических состояний неограниченное время.

Схема триггерной ячейки на логических элементах (RS триггер).

Схема на рисунке выше представляет простейший триггер (или триггерная ячейка), который имеет два входа и два выхода. Входы триггера реагируют на низкий логический уровень: вход R – сброс (англ. Reset – сброс) и вход S – установка (англ. Set – установка), выходы: прямой Q (англ. Quit – выход) и инверсный –Q.

Как говорилось выше, входы триггера R и S реагируют на низкий логический уровень и сигналы на них должны поступать с некоторой разницей во времени. Опишем работу данной схемы. Когда на обоих входах триггера присутствует низкий логический уровень, то это никак не отразится на уровне напряжения на выходах. Когда на вход S поступит сигнал лог.

1, то на выходах Q будет лог. 0, а на –Q – лог. 1. Если теперь на вход R триггера поступит лог. 1, то выходные сигналы не изменятся. И наконец если изменить уровень сигнала на входе S с высокого на низкий уровень, то на выходе триггера Q будет лог. 1, а на –Q – лог. 0. Таким образом, для данной триггерной ячейки можно составить таблицу истинности.

Таблица истинности триггерной ячейки (RS триггер).

Входы Выходы
R S Q -Q
Не определено
1 1
1 1 Без изменений
1 1

Схемы с такой таблицей истинности называются RS триггерами. RS триггеры служат основой для многих динамических устройств: делители частоты, счётчики, регистры. Кроме вышеописанного RS триггера существует ещё несколько типов триггеров, которые отличаются методом управления, входными и выходными сигналами. Все современные триггеры объединены в серии цифровых микросхем:

  • RS триггеры – самый простой и редко используемый триггер, имеет обозначение ТР;
  • JK триггер – имеет сложное управление, обозначение ТВ;
  • D триггер – самый распространённый и имеет сложность среднюю, обозначение ТМ;
ЭТО ИНТЕРЕСНО:  Кто должен присоединять и отсоединять электросварочные установки

RS триггеры

Рассмотрим принцип работы RS триггера возьмём микросхему К555ТР2.

Обозначение RS триггера К555ТР2

Данная микросхема имеет 4 RS триггера, два из которых имеют по одному R входу и одному S входу, а два других – по одному R входу и по два S входа, объединенных по функции И. Все 4 RS триггера данной микросхемы имеют по одному прямому выходу. Принцип работы данных триггеров не отличатся от триггерной ячейки описанной выше.

Импульс с низким уровнем на входе триггера R приводит состояние выхода к низкому уровню, а импульс с низким логическим уровнем на входе триггера S – состояние выхода в высоком логическом уровне. В случае появления одновременных сигналов на входах триггера переводит его выход в состояние лог.

1, а после окончания импульсов в одно из устойчивых состояний.

JK триггер

Микросхема типа К555ТВ9, является представителем семейства JK триггеров, который имеет следующий принцип работы.

Обозначение JK триггера К555ТВ9.

Микросхема К555ТВ9 содержит два JK триггера. Триггеры данного типа сложнее по устройству и по управлению по сравнению с RS триггером. В дополнение к стандартным входам R и S, которые работают аналогично с RS триггером, в JK триггере имеются информационные входа J и K, а также вход синхронизации С.

Таблица истинности JK триггера.

Входы Выходы
-S -R C J K Q -Q
1 Х Х Х 1
1 Х Х Х 1
Х Х Х Не определено
1 1 1→0 1 1
1 1 1→0 1 1
1 1 1→0 Не изменяется
1 1 1→0 1 1 Меняется напротивоположное
1 1 1 Х Х Не изменяется
1 1 Х Х Не изменяется
1 1 0→1 Х Х Не изменяется

Принцип работы JK триггера следующий. Вход R триггера служит для перевода прямого выхода в лог.1, а вход S триггера – в состояние лог.0. Вход С (англ. Clock – часы)служит для тактирования JK триггера, то есть все изменения выходов происходят только когда на входе С сигнал изменяется с высокого уровня на низкий.

Информационные входа J (англ. Jump – прыжок) и К (англ. Kill – убить) работают следующим образом: если на J лог.1 и на К лог.0, то по импульсу со входа С на Q будет лог.1 и на –Q будет лог.0. Для изменения уровня сигнала на выходах на противоположные необходимо на J подать лог.0, а на К лог.

1, тогда по импульсу на входе С состояние выходов измениться.

D триггер

D триггер является самым используемым, а по управлению он занимает промежуточное положение между RS триггером и JK триггером. Представителем D триггеров является микросхема К555ТМ2.

Обозначение D триггера микросхемы К555ТМ2

В составе данной микросхемы содержится два D триггера, которые имеют два входа сброса и установки R и C, информационный вход D (англ.

Dalay – задержка) триггера и один тактируемый вход С триггера, а также два выхода: прямой Q и инверсный –Q.

Как и все триггеры, у которых имеется тактируемый вход С, принцип работы D триггера основан на переключении уровней напряжений на выходе триггера только стробированием по входу С. Таким образом можно составить таблицу истинности D триггера.

Таблица истинности D триггера

Входы Выходы
-S -R C D Q -Q
1 X X 1
1 X X 1
X X Не определено
1 1 0→1 1
1 1 0→1 1 1
1 1 Х Не меняется
1 1 1 Х Не меняется
1 1 1→0 Х Не меняется

D триггер является наиболее универсальным потому, что данным триггером можно заменить все остальные RS триггеры и JK триггеры. Для замены RS триггера необходимо просто не использовать входы D и C входы D триггера, а относительно JK триггера, то для большинства схем одной пары входов вполне достаточно. Ниже приведены схемы замены триггеров

Схема замены D триггером: RS триггера (слева) и JK триггера в счётном режиме (справа).

Теория это хорошо, но без практического применения это просто слова.Здесь можно всё сделать своими руками.

Источник: http://www.electronicsblog.ru/cifrovaya-sxemotexnika/triggery-princip-raboty.html

Триггеры — Workbench

Триггер является элементом хранения информации, который широко применяется при построении счетчиков, регистров и других устройств памяти. Одним из наиболее важных свойств триггера является его способность устанавливать состояние своего выхода в одно из двух возможных состояний, которые могут быть представлены как логические нуль и единица. В соответствие с этим состояние триггера может рассматриваться как бит информации.

Триггер — цифровой автомат, имеющий два устойчивых состояния рав­но­ве­сия либо 0, либо 1.

Состояние триггера распознается по его входному сигналу. Под влиянием входного сигнала триггер скачкообразно переходит из одного устойчивого состояния в другое, при этом скачкообразно изменяется уровень напряжения его выходного сигнала.

Для удобства использования в схемах вычислительных устройств триггеры обычно имеют два выхода: прямой Q (называется также «выход 1») и инверсный ?Q («выход 0»). В единичном состоянии триггеры на выходе Q имеют высокий уровень сигнала, а в нулевом — низкий.

На выходе ?Q наоборот.

Если хотя бы с одного входа информации в триггер заносится принудительно под воздействием синхронизирующего сигнала, то триггер называется синхронизируемым (синхронным). Если занесение информации в триггер с любого входа производится без синхронизирующего сигнала, то триггер называется несинхронизируемым (асинхронным).

Состояние триггера определяется сигналом Q на прямом выходе триггера (или сигналом ?Q на его инверсном выходе).

Законы функционирования триггеров задаются таблицами переходов с компактной записью, при которой в столбце состояний может быть указано, что новое состояние совпадает с предыдущим либо является его отрицанием.

ЭТО ИНТЕРЕСНО:  Что такое электрический двигатель

Типы триггеров

Триггер типа RS имеет два входа раздельной установки в нулевое и единичное состояния. Воздействие по входу S (обозначен по первой букве слова set – установка) приводит триггер в единичное состояние, а воздействие по входу R (от первой буквы слова reset – сброс) – в нулевое. Одновременная подача сигналов S и R не допускается, что является недостатком для RS-триггера.

Асинхронный RS-триггер на вентилях ИЛИ-НЕ показан на рисунке.

Рис. Схема исследования RS-триггера

Триггер образован из двух комбинационных схем ИЛИ-НЕ, соединенных таким образом, что возникают положительные обратные связи, благодаря которым в устойчивом состоянии выходной транзистор одной схемы ИЛИ-НЕ закрыт, а другой открыт. Ниже представлена таблица закона функционирования асинхронного RS-триггера.

Таблица переходов асинхронного RS-триггера на элементах ИЛИ-НЕ

R S Q Примечание
Q Хранение
1 1 Установка 1
1 Установка 0
1 1 Запрещено

При R=1 и S=0 триггер устанавливается в нулевое состояние Q=0; при R=0 и S=1 он устанавливается в единичное состояние Q=1; при R=S=0 триггер сохраняет состояние, в котором он находился до момента поступления на его входы нулевых сигналов.

При R=S=1 на прямом и инверсном выходах устанавливается нулевой сигнал. Триггерное кольцо превращается в два независимых инвертора, и при переходе к хранению (R=S=0) триггер может устанавливаться в любое состояние.

Поэтому такая комбинация входных сигналов запрещена.

В Electronics Workbench RS-триггер обознается .

D-триггер

D-триггер (от слова delay – задержка) принимает информацию по одному входу и реализует функцию временной задержки. D-триггер имеет только режимы установки 1 и 0. В связи с этим несинхронизируемый D-триггер не применяется, т.к. его выход будет просто повторять входной сигнал. Синхронизируемый однотактный D-триггер задерживает распространение входного сигнала на время паузы между синхросигналами (задержка на полпериода).

JK-триггер

JK-триггер — универсален, с раздельной установкой нулевого и единичного состояния, в зависимости от соединения его входов он может работать как RS, T, D триггера. В отличие от триггера типа RS в нем не запрещена одновременная подача сигналов на оба входа.

Входы J и K эквивалентны входам S и R установки триггера соответственно в состояния «1» и «0».При объединении входов J и K и при подаче на них счетных импульсов.

Вход J при раздельном использовании входов играет роль входа установки в единицу, а вход K — роль входа установки в нуль.

В Electronics Workbench JK-триггер обознается .

T-триггер

T-триггер — является триггером со счетным входом (или счетным триггером). Он изменяет свое состояние на противоположное каждый раз, когда на его вход приходит очередной сигнал. Обозначение триггера пришло от первой буквы английского слова toggle — защелка.

Источник: https://itteach.ru/workbench/triggeri

Исследование триггеров и их моделирование в среде Simulink, страница 3

Находим в параметрах Inherit sample time (флажок) – Наследовать шаг модельного времени. Если этот флажок установлен, то блок Memory использует шаг модельного времени (Sample time) такой же, как и в предшествующем блоке. Повышает скорость и точность расчета.

Блок Memoryнужен для запоминания предыдущего состояния триггера. Если не будет этого блока, схема не сможет решить алгебраический цикл. Блок Memory зацикливает процесс (контур, принимает и выдает непрерывные сигналы).

Блок производит минимизацию нужной нам алгебраической задачи.

2.1.  Описание принципа работы JK-триггера

JK-триггер работает так же как RS-триггер, с одним лишь исключением: при подаче логической единицы на оба входа J и K состояние выхода триггера изменяется на противоположное. Вход J (от англ. Jump — прыжок) аналогичен входу S у RS-триггера. Вход K (от англ. Kill — убить) аналогичен входу R у RS-триггера. При подаче единицы на вход J и нуля на вход K выходное состояние триггера становится равным логической единице.

А при подаче единицы на вход K и нуля на вход J выходное состояние триггера становится равным логическому нулю. JK-триггер в отличие от RS-триггера не имеет запрещённых состояний на основных входах, однако это никак не помогает при нарушении правил разработки логических схем.

На практике применяются только синхронные JK-триггеры, то есть состояния основных входов J и K учитываются только в момент тактирования, например по положительному фронту импульса на входе синхронизации.

2.2.  Описание модели JK-триггера в среде Simulink

Ставим  3 блока Pulse Generator со следующими параметрами:

Qn

J

K

Q

!Q

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

2.4.  Описание принципа работы D-триггера.

D-триггер имеет только один информационный вход. Его обозначение D произошло от английского слова delay (задержка), что говорит о свойстве триггера задерживать информацию.

Состоянию LOG 1 на D-входе триггера соответствует единица на прямом выходе, а состоянию LOG 0 соответствует нулевой уровень выходного сигнала. D-триггер не имеет запрещенных состояний, поэтому информация на прямом и инверсном выходе всегда взаимопротивоположна. На практике наибольшее применение нашли тактируемые D-триггеры. Их назначение обусловлено свойством сохранять предыдущее состояние после снятия входного сигнала до прихода очередного тактового импульса.

Cоотношения входных сигналов при наличии на входах LOG 0 и LOG 1, характеризующие принцип работы триггера:

С=0, D=0 состояние триггера не меняется;

C=0, D=1 состояние триггера не меняется;

C=1, D=1 устанавливаются состояния Q=1, =0; — сброс

C=1, D=0 устанавливаются состояния Q=0,, — установка

2.6.  Разработать модель D-триггера, реагирующего на отрицательный перепад синхронизирующего сигнала по входу C

Источник: https://vunivere.ru/work101185/page3

Понравилась статья? Поделиться с друзьями:
220 вольт